Skip to main content Skip to main navigation

Publication

The System Verification Methodology for Advanced TLM Verification

Marcio F. S. Oliveira; Christoph Kuznik; Wolfgang Mueller; Finn Haedicke; Hoang M. Le; Daniel Große; Rolf Drechsler; Wolfgang Ecker; Volkan Esen
In: International Conference on Hardware/Software Codesign and System Synthesis. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS-2012), October 7-12, Tampere, Finland, ACM, 2012.

Abstract

The IEEE-1800 SystemVerilog [20] system description and verification language integrates dedicated verification features, like constraint random stimulus generation and functional coverage, which are the building blocks of the Universal Verification Methodology (UVM) [3], the emerging standard for electronic systems verification. In this article, we introduce our System Verification Methodology (SVM) as a SystemC library for advanced Transaction Level Modeling (TLM) testbench implementation. As such, we first present SystemC libraries for the support of verification features like functional coverage and constrained random stimulus generation. Thereafter, we introduce the SVM with advanced TLM support based on SystemC and compare it to UVM and related approaches. Finally, we demonstrate the application of our SVM by means of a testbench for a two wheel self-balancing electric vehicle.